Add subsystem drivers for Oneplus devices

Don't forget to follow instructions in README!

Signed-off-by: Xilin Wu <strongtz@yeah.net>
This commit is contained in:
Xilin Wu 2021-07-14 10:12:46 +08:00 committed by BigfootACA
parent 86a29e4a43
commit 9203d91270
482 changed files with 15659 additions and 3 deletions

View File

@ -3,6 +3,23 @@
This repository contains driver binary files for Qualcomm Snapdragon platforms.
# How to use 如何使用?
**Please run the following command before deploying drivers!**
**请在安装驱动前执行下列命令!!**
其中DEVICE为设备代号务必确认
```
.\extract.ps1 DEVICE
```
or
```
./extract.sh DEVICE
```
## Copyright, License, Disclaimers and end user license agreement

View File

@ -0,0 +1,39 @@
{
"config":{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "341", "360", "393"]
},
"ak0991x_0":{
"owner": "sns_ak0991x",
".mag":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
},
".config_2":{
"owner": "sns_ak0991x",
"use_fifo":{ "type": "int", "ver": "0",
"data": "1"
},
"nsf":{ "type": "int", "ver": "0",
"data": "0"
},
"sdr":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,39 @@
{
"config":{
"hw_platform": ["QRD", "MTP", "Surf", "RCM", "IDP"],
"soc_id": ["339", "355", "356", "361", "365", "366", "394"]
},
"ak0991x_0":{
"owner": "sns_ak0991x",
".mag":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
},
".config_2":{
"owner": "sns_ak0991x",
"use_fifo":{ "type": "int", "ver": "0",
"data": "0"
},
"nsf":{ "type": "int", "ver": "0",
"data": "0"
},
"sdr":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,46 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321","291", "246", "305"]
},
"apds9251":{
"owner": "sns_apds9251",
".ambient_light":{
"owner": "sns_apds9251",
".config":{
"owner": "sns_apds9251",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".ambient_temperature":{
"owner": "sns_apds9251",
".config":{
"owner": "sns_apds9251",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,81 @@
{
"config":{
"hw_platform": ["MTP", "Surf"],
"soc_id": ["321"]
},
"bmi160_0":{
"owner": "sns_bmi160",
".accel":{
"owner": "sns_bmi160",
".config":{
"owner": "sns_bmi160",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "sns_bmi160",
".config":{
"owner": "sns_bmi160",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "sns_bmi160",
".config":{
"owner": "sns_bmi160",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bmi160",
".config":{
"owner": "sns_bmi160",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1 @@
CLS

View File

@ -0,0 +1,19 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["321"]
},
"lsm6dsm_0":{
"owner": "lsm6dsm",
".accel":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
}
}
}
}
}

View File

@ -0,0 +1,19 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["291", "246", "305"]
},
"lsm6dsm_0":{
"owner": "lsm6dsm",
".accel":{
"owner": "lsm6dsm",
".config":{
"owner": "lsm6dsm",
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
}
}
}
}
}

View File

@ -0,0 +1,19 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["336", "339", "340", "355", "360", "361", "365", "366", "393", "394"]
},
"lsm6dso_0":{
"owner": "lsm6dso",
".accel":{
"owner": "lsm6dso",
".config":{
"owner": "lsm6dso",
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
}
}
}
}
}

View File

@ -0,0 +1,19 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["341", "347"]
},
"lsm6dso_0":{
"owner": "lsm6dso",
".accel":{
"owner": "lsm6dso",
".config":{
"owner": "lsm6dso",
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
}
}
}
}
}

View File

@ -0,0 +1,82 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "HDK", "IDP", "QRD"],
"soc_id": ["356"]
},
"lsm6dst_0":{
"owner": "lsm6dst",
".accel":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "2"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".gyro":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "2"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "4"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "2"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "lsm6dst",
".config":{
"owner": "lsm6dst",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,28 @@
{
"config":
{
"hw_platform": ["MTP", "Surf", "QRD", "HDK"],
"soc_id": ["321", "341"]
},
"mmc3530kj_0":{
"owner": "sns_mmc3530kj",
".mag":{
"owner": "sns_mmc3530kj",
".config":{
"owner": "sns_mmc3530kj",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,27 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["291", "246", "305", "321"]
},
"mmc5603nj_0":{
"owner": "sns_mmc5603nj",
".mag":{
"owner": "sns_mmc5603nj",
".config":{
"owner": "sns_mmc5603nj",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1 @@
Unknown

View File

@ -0,0 +1 @@
3.1

View File

@ -0,0 +1,160 @@
{
"config":
{
"hw_platform": ["MTP", "Surf", "QRD", "HDK"],
"soc_id": ["321", "341"]
},
"ak0991x_0_platform":{
"owner": "sns_ak0991x",
".config":{
"owner": "sns_ak0991x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "12"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "119"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_ak0991x",
"x":{ "type": "str", "ver": "0",
"data": "+y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".mag":{
"owner": "sns_ak0991x",
".fac_cal":{
"owner": "sns_ak0991x",
".corr_mat":{
"owner": "sns_ak0991x",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.059753"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "-0.017272"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.003845"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "-0.024291"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "0.936218"
},
"1_2":{ "type": "flt", "ver": "0",
"data": " 0.001892"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "-0.007019"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "-0.029663"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.067260"
}
},
".bias":{
"owner": "sns_ak0991x",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_ak0991x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,141 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["321"]
},
"apds9251_platform":{
"owner": "sns_apds9251",
".config":{
"owner": "sns_apds9251",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "82"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "122"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".placement":{
"owner": "sns_apds9251",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".ambient_light":{
"owner": "sns_apds9251",
".fac_cal":{
"owner": "sns_apds9251",
"scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".ambient_temperature":{
"owner": "sns_apds9251",
".fac_cal":{
"owner": "sns_apds9251",
"ir_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ir_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"red_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"red_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"green_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"green_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"blue_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"blue_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}
}

View File

@ -0,0 +1,251 @@
{
"config":{
"hw_platform": ["QRD"],
"soc_id": ["321"]
},
"bma2x2_0":{
"owner": "sns_bma2x2",
".accel":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bma2x2_0_platform":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "2"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "2"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "117"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_bma2x2",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".accel":{
"owner": "sns_bma2x2",
".fac_cal":{
"owner": "sns_bma2x2",
".corr_mat":{
"owner": "sns_bma2x2",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bma2x2",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bma2x2",
".fac_cal":
{
"owner": "sns_bma2x2",
".scale":{
"owner": "sns_bma2x2",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bma2x2",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "sns_bma2x2",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,233 @@
{
"config":{
"hw_platform": ["QRD"],
"soc_id": ["321"]
},
"bmg160_0":{
"owner": "sns_bmg160",
".gyro":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bmg160_0_platform":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "2"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "1"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "118"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_bmg160",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "-z"
}
},
".gyro":{
"owner": "sns_bmg160",
".fac_cal":{
"owner": "sns_bmg160",
".corr_mat":{
"owner": "sns_bmg160",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bmg160",
".fac_cal":
{
"owner": "sns_bmg160",
".scale":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "sns_bmg160",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,241 @@
{
"config":{
"hw_platform": ["MTP", "Surf"],
"soc_id": ["321"]
},
"bmi160_0_platform":{
"owner": "sns_bmi160",
".config":{
"owner": "sns_bmi160",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "2"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "3300"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "118"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_bmi160",
"x":{ "type": "str", "ver": "0",
"data": "-x"
},
"y":{ "type": "str", "ver": "0",
"data": "-y"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".gyro":{
"owner": "sns_bmi160",
".fac_cal":{
"owner": "sns_bmi160",
".corr_mat":{
"owner": "sns_bmi160",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmi160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "sns_bmi160",
".fac_cal":{
"owner": "sns_bmi160",
".corr_mat":{
"owner": "sns_bmi160",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmi160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bmi160",
".fac_cal":
{
"owner": "sns_bmi160",
".scale":{
"owner": "sns_bmi160",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmi160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bmi160",
".config":{
"owner": "sns_bmi160",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "sns_bmi160",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,203 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["341"]
},
"bmm150_0":{
"owner": "sns_bmm150",
".mag":{
"owner": "sns_bmm150",
".config":{
"owner": "sns_bmm150",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bmm150",
".config":{
"owner": "sns_bmm150",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bmm150_0_platform":{
"owner": "sns_bmm150",
".config":{
"owner": "sns_bmm150",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "2"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "1"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "10000"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd_3"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_bmm150",
"x":{ "type": "str", "ver": "0",
"data": "+y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".mag":{
"owner": "sns_bmm150",
".fac_cal":{
"owner": "sns_bmm150",
".corr_mat":{
"owner": "sns_bmm150",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmm150",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bmm150",
".fac_cal":
{
"owner": "sns_bmm150",
".scale":{
"owner": "sns_bmm150",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmm150",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_bmm150",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,171 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK"],
"soc_id": ["321"]
},
"default_sensors": {
"owner": "suid",
".accel": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gyro": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".mag": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".motion_detect": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".sensor_temperature": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".proximity": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "16" },
"val": { "type": "int", "ver": "0", "data": "1" }
}
},
".ambient_light": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "16" },
"val": { "type": "int", "ver": "0", "data": "1" }
}
},
".accel_cal": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gyro_cal": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".mag_cal": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".amd": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".tilt": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gyro_rot_matrix": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".gravity": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".game_rv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".geomag_rv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".fmv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
},
".rotv": {
"owner": "suid",
".attr_0": {
"owner": "suid",
"id": { "type": "int", "ver": "0", "data": "19" },
"val": { "type": "int", "ver": "0", "data": "0" }
}
}
}
}

View File

@ -0,0 +1,9 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP", "RCM"],
"soc_id": ["321"]
},
"sns_irq": {
"owner": "sns_irq"
}
}

View File

@ -0,0 +1,238 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "QRD"],
"soc_id": ["321"]
},
"lsm6ds3c_0_platform":{
"owner": "lsm6ds3c",
".config":{
"owner": "lsm6ds3c",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "2"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "118"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "lsm6ds3c",
"x":{ "type": "str", "ver": "0",
"data": "+y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".gyro":{
"owner": "lsm6ds3c",
".fac_cal":{
"owner": "lsm6ds3c",
".corr_mat":{
"owner": "lsm6ds3c",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6ds3c",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".accel":{
"owner": "lsm6ds3c",
".fac_cal":{
"owner": "lsm6ds3c",
".corr_mat":{
"owner": "lsm6ds3c",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6ds3c",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "lsm6ds3c",
".fac_cal":
{
"owner": "lsm6ds3c",
".scale":{
"owner": "lsm6ds3c",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "lsm6ds3c",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "lsm6ds3c",
".config":{
"owner": "lsm6ds3c",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "lsm6ds3c",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,146 @@
{
"config":
{
"hw_platform": ["MTP", "Surf", "QRD", "HDK"],
"soc_id": ["321", "341"]
},
"mmc3530kj_0_platform":{
"owner": "sns_mmc3530kj",
".config":{
"owner": "sns_mmc3530kj",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "48"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_mmc3530kj",
"x":{ "type": "str", "ver": "0",
"data": "-y"
},
"y":{ "type": "str", "ver": "0",
"data": "+x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".mag":{
"owner": "sns_mmc3530kj",
".fac_cal":{
"owner": "sns_mmc3530kj",
".corr_mat":{
"owner": "sns_mmc3530kj",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
".bias":{
"owner": "sns_mmc3530kj",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_mmc3530kj",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,141 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321", "341", "291"]
},
"mmc5603nj_0_platform":{
"owner": "sns_mmc5603nj",
".config":{
"owner": "sns_mmc5603nj",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "48"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "1"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_mmc5603nj",
"x":{ "type": "str", "ver": "0",
"data": "+y"
},
"y":{ "type": "str", "ver": "0",
"data": "-x"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".mag":{
"owner": "sns_mmc5603nj",
".fac_cal":{
"owner": "sns_mmc5603nj",
".corr_mat":{
"owner": "sns_mmc5603nj",
"0_0":{ "type": "flt", "ver": "0",
"data": "0.999612"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.021602"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.009678"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.016920"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "0.970170"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.029020"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.018200"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.067828"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.135646"
}
},
".bias":{
"owner": "sns_mmc5603nj",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_mmc5603nj",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,558 @@
{
"config":{
"hw_platform": ["MTP", "Surf","HDK"],
"soc_id": ["321", "341"]
},
"power":{
"owner": "power_manager",
".island":{
"owner": "power_manager",
"enable_island":{ "type": "int", "ver": "0",
"data": "1"
}
},
".gpio":{
"owner": "power_manager",
".gpio_0":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "0"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_1":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "1"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_2":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "2"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_3":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "3"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_4":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "4"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_5":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "5"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_6":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "6"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_7":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "7"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_8":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "8"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_9":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "9"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_10":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "10"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_11":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "11"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_12":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "12"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_13":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "13"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_14":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "14"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_15":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "15"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_16":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "16"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_17":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "17"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
}
}
}
}

View File

@ -0,0 +1,558 @@
{
"config":{
"hw_platform": ["QRD"],
"soc_id": ["321"]
},
"power":{
"owner": "power_manager",
".island":{
"owner": "power_manager",
"enable_island":{ "type": "int", "ver": "0",
"data": "1"
}
},
".gpio":{
"owner": "power_manager",
".gpio_0":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "0"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_1":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "1"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_2":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "2"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_3":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "3"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_4":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "4"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_5":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "5"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_6":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "6"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_7":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "7"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "2"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "0"
}
},
".gpio_8":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "8"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_9":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "9"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_10":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "10"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_11":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "11"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_12":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "12"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_13":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "13"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_14":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "14"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_15":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "15"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_16":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "16"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "1"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
},
".gpio_17":{
"owner": "power_manager",
"gpio_num":{ "type": "int", "ver": "0",
"data": "17"
},
"active_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"active_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"active_pull":{ "type": "int", "ver": "0",
"data": "0"
},
"active_drive":{ "type": "int", "ver": "0",
"data": "3"
},
"sleep_fs":{ "type": "int", "ver": "0",
"data": "1"
},
"sleep_dir":{ "type": "int", "ver": "0",
"data": "0"
},
"sleep_pull":{ "type": "int", "ver": "0",
"data": "2"
},
"sleep_drive":{ "type": "int", "ver": "0",
"data": "3"
}
}
}
}
}

View File

@ -0,0 +1,238 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321", "291", "246", "305"]
},
"stk36xx_0_platform":{
"owner": "sns_stk36xx",
".config":{
"owner": "sns_stk36xx",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "71"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "120"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_stk36xx",
".fac_cal":{
"owner": "sns_stk36xx",
"scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "5000.0"
}
}
},
".rgb":{
"owner": "sns_stk36xx",
".fac_cal":{
"owner": "sns_stk36xx",
"r_channel_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"r_channel_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"g_channel_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"g_channel_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"b_channel_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"b_channel_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"color_temp_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"color_temp_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
},
".target_value":{
"owner": "sns_stk36xx",
"comment": "abandoned after 2018/2/9, using group 'target_value_new'",
"r":{ "type": "flt", "ver": "0",
"data": "420.0"
},
"g":{ "type": "flt", "ver": "0",
"data": "284.0"
},
"b":{ "type": "flt", "ver": "0",
"data": "181.0"
}
},
".target_value_new":{
"owner": "sns_stk36xx",
"tar_1_x":{ "type": "flt", "ver": "0",
"data": "1111.0"
},
"tar_1_y":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"tar_1_z":{ "type": "flt", "ver": "0",
"data": "474.0"
},
"tar_2_x":{ "type": "flt", "ver": "0",
"data": "710.7"
},
"tar_2_y":{ "type": "flt", "ver": "0",
"data": "726.7"
},
"tar_2_z":{ "type": "flt", "ver": "0",
"data": "621.1"
},
"tar_3_x":{ "type": "flt", "ver": "0",
"data": "2020.5"
},
"tar_3_y":{ "type": "flt", "ver": "0",
"data": "1835.0"
},
"tar_3_z":{ "type": "flt", "ver": "0",
"data": "612.0"
}
},
".current_value":{
"owner": "sns_stk36xx",
"ref_1_r":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ref_1_g":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ref_1_b":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ref_2_r":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ref_2_g":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ref_2_b":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"ref_3_r":{ "type": "flt", "ver": "0",
"data": "8191.0"
},
"ref_3_g":{ "type": "flt", "ver": "0",
"data": "3215.0"
},
"ref_3_b":{ "type": "flt", "ver": "0",
"data": "1141.5"
}
},
".matrix_value":{
"owner": "sns_stk36xx",
"Matrix00":{ "type": "flt", "ver": "0",
"data": "0.01669"
},
"Matrix10":{ "type": "flt", "ver": "0",
"data": "-0.0158"
},
"Matrix20":{ "type": "flt", "ver": "0",
"data": "-0.02001"
},
"Matrix01":{ "type": "flt", "ver": "0",
"data": "0.1465"
},
"Matrix11":{ "type": "flt", "ver": "0",
"data": "0.15941"
},
"Matrix21":{ "type": "flt", "ver": "0",
"data": "0.0152"
},
"Matrix02":{ "type": "flt", "ver": "0",
"data": "-0.01306"
},
"Matrix12":{ "type": "flt", "ver": "0",
"data": "0.03247"
},
"Matrix22":{ "type": "flt", "ver": "0",
"data": "0.3157"
}
},
".linear_info":{
"owner": "sns_stk36xx",
"first_target":{ "type": "flt", "ver": "0",
"data": "3000.0"
},
"second_target":{ "type": "flt", "ver": "0",
"data": "5000.0"
},
"a_para":{ "type": "flt", "ver": "0",
"data": "4504.329590"
},
"b_para":{ "type": "flt", "ver": "0",
"data": "849.900879"
},
"ratio_1":{ "type": "flt", "ver": "0",
"data": "0.475198"
},
"ratio_2":{ "type": "flt", "ver": "0",
"data": "0.920330"
}
}
},
".ps":{
"owner": "sns_stk36xx",
".fac_cal":{
"owner": "sns_stk36xx",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "800.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "500.0"
}
}
}
}
}

View File

@ -0,0 +1,84 @@
{
"config":{
"hw_platform": ["MTP", "Surf", "QRD"],
"soc_id": ["321"]
},
"stk3x3x_0_platform":{
"owner": "sns_stk3x3x",
".config":{
"owner": "sns_stk3x3x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "71"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "120"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_stk3x3x",
".fac_cal":{
"owner": "sns_stk3x3x",
"scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"bias":{ "type": "flt", "ver": "0",
"data": "5000.0"
}
}
},
".ps":{
"owner": "sns_stk3x3x",
".fac_cal":{
"owner": "sns_stk3x3x",
"near_threshold":{ "type": "flt", "ver": "0",
"data": "1600.0"
},
"far_threshold":{ "type": "flt", "ver": "0",
"data": "1500.0"
}
}
}
}
}

View File

@ -0,0 +1,251 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["341"]
},
"bma2x2_0":{
"owner": "sns_bma2x2",
".accel":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".md":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bma2x2_0_platform":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "2"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "0"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "117"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd_3"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_bma2x2",
"x":{ "type": "str", "ver": "0",
"data": "+x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".accel":{
"owner": "sns_bma2x2",
".fac_cal":{
"owner": "sns_bma2x2",
".corr_mat":{
"owner": "sns_bma2x2",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bma2x2",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bma2x2",
".fac_cal":
{
"owner": "sns_bma2x2",
".scale":{
"owner": "sns_bma2x2",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bma2x2",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bma2x2",
".config":{
"owner": "sns_bma2x2",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "sns_bma2x2",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,233 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["341"]
},
"bmg160_0":{
"owner": "sns_bmg160",
".gyro":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".temp":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"is_dri":{ "type": "int", "ver": "0",
"data": "0"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"bmg160_0_platform":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"bus_type":{ "type": "int", "ver": "0",
"data": "1"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "2"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "2"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "0"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "9600"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "118"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "0"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd_3"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".orient":{
"owner": "sns_bmg160",
"x":{ "type": "str", "ver": "0",
"data": "+x"
},
"y":{ "type": "str", "ver": "0",
"data": "+y"
},
"z":{ "type": "str", "ver": "0",
"data": "+z"
}
},
".gyro":{
"owner": "sns_bmg160",
".fac_cal":{
"owner": "sns_bmg160",
".corr_mat":{
"owner": "sns_bmg160",
"0_0":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"0_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"0_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1_1":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"1_2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2_2":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"y":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"z":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".temp":{
"owner": "sns_bmg160",
".fac_cal":
{
"owner": "sns_bmg160",
".scale":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_bmg160",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".md":{
"owner": "sns_bmg160",
".config":{
"owner": "sns_bmg160",
"thresh":{ "type": "flt", "ver": "0",
"data": "0.6132"
},
"disable":{ "type": "int", "ver": "0",
"data": "0"
},
"win":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".placement":{
"owner": "sns_bmg160",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,250 @@
{
"config":{
"hw_platform": ["MTP"],
"soc_id": ["341"]
},
"rpr0521rs_0":{
"owner": "sns_rpr0521rs",
".ambient_light":{
"owner": "sns_rpr0521rs",
".config":{
"owner": "sns_rpr0521rs",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".proximity":{
"owner": "sns_rpr0521rs",
".config":{
"owner": "sns_rpr0521rs",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
},
"rpr0521rs_0_platform":{
"owner": "sns_rpr0521rs",
".config":{
"owner": "sns_rpr0521rs",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "56"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "120"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".ambient_light":{
"owner": "sns_rpr0521rs",
".fac_cal":{
"owner": "sns_rpr0521rs",
".coefficient":{
"owner": "sns_rpr0521rs",
"d0_0":{ "type": "flt", "ver": "0",
"data": "1.682"
},
"d0_1":{ "type": "flt", "ver": "0",
"data": "0.644"
},
"d0_2":{ "type": "flt", "ver": "0",
"data": "0.756"
},
"d0_3":{ "type": "flt", "ver": "0",
"data": "0.766"
},
"d1_0":{ "type": "flt", "ver": "0",
"data": "1.877"
},
"d1_1":{ "type": "flt", "ver": "0",
"data": "0.132"
},
"d1_2":{ "type": "flt", "ver": "0",
"data": "0.243"
},
"d1_3":{ "type": "flt", "ver": "0",
"data": "0.250"
},
"judg_0":{ "type": "flt", "ver": "0",
"data": "0.595"
},
"judg_1":{ "type": "flt", "ver": "0",
"data": "0.595"
},
"judg_2":{ "type": "flt", "ver": "0",
"data": "1.352"
},
"judg_3":{ "type": "flt", "ver": "0",
"data": "3.053"
}
},
".scale":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".fac_cal_init":{
"owner": "sns_rpr0521rs",
".init_scale":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".init_bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".proximity":{
"owner": "sns_rpr0521rs",
".fac_cal":{
"owner": "sns_rpr0521rs",
".near_threshold":{
"owner": "sns_rpr0521rs",
"thres":{ "type": "flt", "ver": "0",
"data": "180"
}
},
".far_threshold":{
"owner": "sns_rpr0521rs",
"thres":{ "type": "flt", "ver": "0",
"data": "98"
}
},
".scale":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "1.0"
}
},
".bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".fac_cal_init":{
"owner": "sns_rpr0521rs",
".init_bias":{
"owner": "sns_rpr0521rs",
"x":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
},
".placement":{
"owner": "sns_rpr0521rs",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,100 @@
{
"config":
{
"hw_platform": ["MTP", "Surf"],
"soc_id": ["321"]
},
"sx932x_0_platform":{
"owner": "sns_sx932x",
".config":{
"owner": "sns_sx932x",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "40"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "96"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "3"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "1"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".placement":{
"owner": "sns_sx932x",
"0":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,123 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321", "291", "246", "305"]
},
"tcs3400_platform":{
"owner": "sns_tcs3400",
".config":{
"owner": "sns_tcs3400",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "57"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "122"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tcs3400",
".fac_cal": {
"owner": "sns_tcs3400",
"lux_scale":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"lux_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".rgb":{
"owner": "sns_tcs3400",
".fac_cal": {
"owner": "sns_tcs3400",
"cct_scale_k":{ "type": "flt", "ver": "0",
"data": "1.0"
},
"cct_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".coefficient":{
"owner": "sns_tcs3400",
"0":{ "type": "flt", "ver": "0",
"data": "858.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "3190.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "-3300.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "7300.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "-7670.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "2457.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "1653.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "4529.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "1890.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,138 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321","246", "305"]
},
"tmd3702_platform":{
"owner": "sns_tmd3702",
".config":{
"owner": "sns_tmd3702",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "73"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "122"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tmd3702",
".afac_cal": {
"owner": "sns_tmd3702",
"lux_scale_1000":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"lux_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".prox":{
"owner": "sns_tmd3702",
".pfac_cal": {
"owner": "sns_tmd3702",
"poffsetl":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"poffseth":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"crosstalk":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".rgb":{
"owner": "sns_tmd3702",
".rfac_cal": {
"owner": "sns_tmd3702",
"cct_scale_k":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"cct_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".coefficient":{
"owner": "sns_tmd3702",
"0":{ "type": "flt", "ver": "0",
"data": "858.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "3190.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "-3300.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "7300.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "-7670.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "2457.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "1653.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "4529.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "1890.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,139 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf"],
"soc_id": ["321", "336", "360", "393"]
},
"tmd3725_platform":{
"owner": "sns_tmd3725",
".config":{
"owner": "sns_tmd3725",
"bus_type":{ "type": "int", "ver": "0",
"data": "0"
},
"bus_instance":{ "type": "int", "ver": "0",
"data": "1"
},
"slave_config":{ "type": "int", "ver": "0",
"data": "57"
},
"min_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"max_bus_speed_khz":{ "type": "int", "ver": "0",
"data": "400"
},
"reg_addr_type":{ "type": "int", "ver": "0",
"data": "0"
},
"dri_irq_num":{ "type": "int", "ver": "0",
"data": "120"
},
"irq_pull_type":{ "type": "int", "ver": "0",
"data": "2"
},
"irq_is_chip_pin":{ "type": "int", "ver": "0",
"data": "1"
},
"irq_drive_strength":{ "type": "int", "ver": "0",
"data": "0"
},
"irq_trigger_type":{ "type": "int", "ver": "0",
"data": "1"
},
"num_rail":{ "type": "int", "ver": "0",
"data": "2"
},
"rail_on_state":{ "type": "int", "ver": "0",
"data": "2"
},
"vdd_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vdd"
},
"vddio_rail":{ "type": "str", "ver": "0",
"data": "/pmic/client/sensor_vddio"
},
"rigid_body_type":{ "type": "int", "ver": "0",
"data": "0"
}
},
".als":{
"owner": "sns_tmd3725",
".afac_cal": {
"owner": "sns_tmd3725",
"lux_scale_1000":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"lux_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".rgb":{
"owner": "sns_tmd3725",
".rfac_cal": {
"owner": "sns_tmd3725",
"cct_scale_k":{ "type": "flt", "ver": "0",
"data": "1000.0"
},
"cct_bias":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".prox":{
"owner": "sns_tmd3725",
".pfac_cal": {
"owner": "sns_tmd3725",
"poffsetl":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"poffseth":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"crosstalk":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
},
".coefficient":{
"owner": "sns_tmd3725",
"0":{ "type": "flt", "ver": "0",
"data": "858.0"
},
"1":{ "type": "flt", "ver": "0",
"data": "3190.0"
},
"2":{ "type": "flt", "ver": "0",
"data": "-3300.0"
},
"3":{ "type": "flt", "ver": "0",
"data": "7300.0"
},
"4":{ "type": "flt", "ver": "0",
"data": "-7670.0"
},
"5":{ "type": "flt", "ver": "0",
"data": "2457.0"
},
"6":{ "type": "flt", "ver": "0",
"data": "1653.0"
},
"7":{ "type": "flt", "ver": "0",
"data": "4529.0"
},
"8":{ "type": "flt", "ver": "0",
"data": "1890.0"
},
"9":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"10":{ "type": "flt", "ver": "0",
"data": "0.0"
},
"11":{ "type": "flt", "ver": "0",
"data": "0.0"
}
}
}
}

View File

@ -0,0 +1,15 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "340", "347", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_amd": {
"owner": "sns_amd",
"param1": {"ver": "0","type": "flt","data": "0.06"
},
"param2": {"ver": "0","type": "flt","data": "0.5"
},
"sample_rate": {"ver": "0","type": "flt", "data": "10.0"
}
}
}

View File

@ -0,0 +1,11 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "QRD", "HDK"],
"soc_id": ["339", "340"]
},
"sns_amd": {
"owner": "sns_amd",
"enabled": {"ver": "0","type": "int", "data": "0"
}
}
}

View File

@ -0,0 +1,11 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "347", "341", "355", "360", "365", "366", "393", "394", "400"]
},
"sns_amd": {
"owner": "sns_amd",
"enabled": {"ver": "0","type": "int", "data": "1"
}
}
}

View File

@ -0,0 +1,10 @@
{
"config":{
},
"sns_aont": {
"owner": "sns_aont",
"aont_enable":{ "type": "int", "ver": "0",
"data": "1"
}
}
}

View File

@ -0,0 +1,36 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_basic_gestures": {
"owner": "sns_basic_gestures",
"sample_rate": { "type": "flt", "ver": "0",
"data": "30.0"
},
"push_threshold": { "type": "flt", "ver": "0",
"data": "14.7178"
},
"pull_threshold": { "type": "flt", "ver": "0",
"data": "14.7178"
},
"shake_threshold": { "type": "flt", "ver": "0",
"data": "14.7178"
},
"sleep": { "type": "flt", "ver": "0",
"data": "0.3"
},
"param1": { "type": "flt", "ver": "0",
"data": "0.1"
},
"param2": { "type": "flt", "ver": "0",
"data": "4.9059"
},
"param3": { "type": "flt", "ver": "0",
"data": "0.1"
},
"param4": { "type": "flt", "ver": "0",
"data": "0.2618"
}
}
}

View File

@ -0,0 +1,36 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_bring_to_ear": {
"owner": "sns_bring_to_ear",
"sample_rate": { "type": "flt", "ver": "0",
"data": "30.0"
},
"facing_angle_threshold": { "type": "flt", "ver": "0",
"data": "1.1345"
},
"horiz_angle_threshold": { "type": "flt", "ver": "0",
"data": "0.3491"
},
"vert_angle_threshold": { "type": "flt", "ver": "0",
"data": "0.3491"
},
"proximity_enable": { "type": "int", "ver": "0",
"data": "0"
},
"param1": { "type": "flt", "ver": "0",
"data": "2.943"
},
"param2": { "type": "flt", "ver": "0",
"data": "0.2"
},
"param3": { "type": "flt", "ver": "0",
"data": "0.2618"
},
"param4": {"type": "flt", "ver": "0",
"data": "0.2"
}
}
}

View File

@ -0,0 +1,148 @@
{
"config": {
"hw_platform": ["MTP","QRD","Dragon","Surf", "HDK"],
"soc_id": ["339", "340", "356", "361"]
},
"ccd_amd": {
"owner": "sns_ccd",
"h2": { "ver": "0","type": "int",
"data": "50"}
},
"ccd_ttw": {
"owner": "sns_ccd",
"te0_ratecfg": { "ver": "0","type": "int",
"data": "0x1" },
"te0_xmd_win_len_samp": { "ver": "0","type": "int",
"data": "0x4" },
"te0_xmd_threshhold1": { "ver": "0","type": "int",
"data": "0x4B00" },
"te0_xmd_threshhold2": { "ver": "0","type": "int",
"data": "0x0" },
"te0_xmd_hysteresis1": { "ver": "0","type": "int",
"data": "0x0" },
"te0_xmd_hysteresis2": { "ver": "0","type": "int",
"data": "0x0" },
"te0_tilt_win_sum_shift": { "ver": "0","type": "int",
"data": "0x2" },
"te0_tr_win_len_samples": { "ver": "0","type": "int",
"data": "0xD" },
"te0_tr_angle_cosine_sq": { "ver": "0","type": "int",
"data": "0x327" },
"te0_tr_decimate_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_tr_hysteresis": { "ver": "0","type": "int",
"data": "0x0" },
"te0_dt_angle_cosine_sq": { "ver": "0","type": "int",
"data": "0x2D0" },
"te0_dt_ref_gravity_latch": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori0_x_th_lower": { "ver": "0","type": "int",
"data": "0x1DED" },
"te0_ori0_x_th_upper": { "ver": "0","type": "int",
"data": "0x0213" },
"te0_ori0_y_th_lower": { "ver": "0","type": "int",
"data": "0x1B1D" },
"te0_ori0_y_th_upper": { "ver": "0","type": "int",
"data": "0x04E3" },
"te0_ori0_z_th_lower": { "ver": "0","type": "int",
"data": "0x1B44" },
"te0_ori0_z_th_upper": { "ver": "0","type": "int",
"data": "0x4D5" },
"te0_ori0_x_th_lower_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_x_th_upper_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_y_th_lower_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_y_th_upper_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_z_th_lower_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_z_th_upper_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_ori0_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_x_th_lower": { "ver": "0","type": "int",
"data": "0x1C88" },
"te0_ori1_x_th_upper": { "ver": "0","type": "int",
"data": "0x377" },
"te0_ori1_y_th_lower": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_y_th_upper": { "ver": "0","type": "int",
"data": "0xF00" },
"te0_ori1_z_th_lower": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_z_th_upper": { "ver": "0","type": "int",
"data": "0xA00" },
"te0_ori1_x_th_lower_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_x_th_upper_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_y_th_lower_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_y_th_upper_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_z_th_lower_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_z_th_upper_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_ori1_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_xmd_cfg1_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_xmd_cfg2_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_tr_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_ori0_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_xmd_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_xmd_cfg2_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_tr_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_ori_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_xmd_cfg1_inv": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd0_xmd_cfg2_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_tr_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_ori0_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd0_output_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg1_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg2_en": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_tr_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_ori1_en": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_xmd_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg2_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_tr_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_ori_cfg1_posthyst": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_xmd_cfg1_inv": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_xmd_cfg2_inv": { "ver": "0","type": "int",
"data": "0x1" },
"te0_csd1_tr_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_ori1_inv": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_delay": { "ver": "0","type": "int",
"data": "0x0" },
"te0_csd1_output_inv": { "ver": "0","type": "int",
"data": "0x0" }
}
}

View File

@ -0,0 +1,17 @@
{
"config": {
"hw_platform": ["MTP","QRD","Dragon","Surf", "HDK"],
"soc_id": ["339", "340", "361"]
},
"ccd_walk": {
"owner": "sns_ccd",
"xct": { "ver": "0","type": "int",
"data": "0xA" },
"mls": { "ver": "0","type": "int",
"data": "0x6" },
"mps": { "ver": "0","type": "int",
"data": "0x4" },
"hmps": { "ver": "0","type": "int",
"data": "0x4" }
}
}

View File

@ -0,0 +1,39 @@
{
"config": {
"hw_platform": ["MTP", "Surf", "RCM", "QRD"],
"soc_id": ["356"]
},
"ccd_walk": {
"owner": "sns_ccd",
"xct": { "ver": "0","type": "int",
"data": "0xA" },
"mls": { "ver": "0","type": "int",
"data": "0x2" },
"mps": { "ver": "0","type": "int",
"data": "0x4" },
"hmps": { "ver": "0","type": "int",
"data": "0x2" },
"hwln1": { "ver": "0","type": "int",
"data": "0xA" },
"mwln3": { "ver": "0","type": "int",
"data": "0xA" },
"hpe0": { "ver": "0","type": "int",
"data": "0x1" },
"lcb0": { "ver": "0","type": "int",
"data": "0xE832" },
"lcb1": { "ver": "0","type": "int",
"data": "0x3F8" },
"lcb2": { "ver": "0","type": "int",
"data": "0x8E1" },
"lcb3": { "ver": "0","type": "int",
"data": "0x37B" },
"lcb4": { "ver": "0","type": "int",
"data": "0x1E6" },
"lcb5": { "ver": "0","type": "int",
"data": "0x2ADF" },
"lcb6": { "ver": "0","type": "int",
"data": "0xF9A" },
"ltt": { "ver": "0","type": "int",
"data": "0xFCFC" }
}
}

View File

@ -0,0 +1,18 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "347", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_cm":{
"owner": "sns_cm",
"heap_size":{ "type": "int", "ver": "0",
"data": "1572864"
},
"max_batch_disabled":{ "type": "int", "ver": "0",
"data": "0"
},
"min_batch_period_thrshld_ms":{ "type": "int", "ver": "0",
"data": "10"
}
}
}

View File

@ -0,0 +1,12 @@
{
"config":{
"hw_platform": ["MTP","QRD","Dragon","Surf", "HDK"],
"soc_id": ["339", "356", "361"]
},
"dae":{
"owner": "sns_dae",
"use_sdc":{ "type": "int", "ver": "0",
"data": "1"
}
}
}

View File

@ -0,0 +1,37 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_device_orient_platform": {
"owner": "sns_device_orient",
".config":{
"owner": "sns_device_orient",
"param0": {
"type": "int", "ver": "0", "data": "1"
},
"param1": {
"type": "flt", "ver": "0", "data": "20.0"
},
"param2": {
"type": "flt", "ver": "0", "data": "0.25"
},
"param3": {
"type": "flt", "ver": "0", "data": "55.0"
},
"param4": {
"type": "flt", "ver": "0", "data": "45.0"
},
"param5": {
"type": "flt", "ver": "0", "data": "0.5"
},
"param6": {
"type": "flt", "ver": "0", "data": "15.0"
},
"param7": {
"type": "int", "ver": "0", "data": "1"
}
}
}
}

View File

@ -0,0 +1,372 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP", "IOT"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "347", "355", "356", "360", "361", "352", "365", "366", "393", "394", "400"]
},
"sns_diag_config":
{
"owner":"diag_filter_sensor",
"qdss":
{
"type" : "int",
"ver" : "0",
"data" : "0"
}
},
"sns_diag_sensor_datatype":
{
"owner":"diag_filter_sensor",
"geomag_rv":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"game_rv":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"gravity":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"cm":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"accel":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"humidity":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"ambient_temperature":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"sensor_temperature":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"motion_detect":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"gyro":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"ultra_violet":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"pressure":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"mag":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"offbody_detect":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"thermopile":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"ambient_light":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"hall":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"proximity":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"rgb":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"test":
{
"type" : "int",
"ver" : "0",
"data" : "0"
},
"flush_test":
{
"type" : "int",
"ver" : "0",
"data" : "0"
},
"md_test":
{
"type" : "int",
"ver" : "0",
"data" : "0"
},
"da_test":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"amd":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"rmd":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"facing":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"gyro_cal":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"oem1":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"mag_cal":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"resampler":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"smd":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"basic_gestures":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"multishake":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"bring_to_ear":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"cmc":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"dpc":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"distance_bound":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"gyro_rot_matrix":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"fmv":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"rotv":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"pedometer":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"device_orient":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"tilt":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"tilt_to_wake":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"heart_rate":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"ppg":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"wrist_tilt_gesture":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"pedometer_wrist":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"data_acquisition_engine":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"ccd_walk":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"ccd_ttw":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"ccd_hw":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"threshold":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"pocket":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"sar":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"radar":
{
"type" : "int",
"ver" : "0",
"data" : "1"
}
"pickup":
{
"type" : "int",
"ver" : "0",
"data" : "1"
},
"op_motion_detect":
{
"type" : "int",
"ver" : "0",
"data" : "1"
}
}
}

View File

@ -0,0 +1,27 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_distance_bound_speed_for_motion_states": {
"owner": "sns_distance_bound",
"unknown": {"ver": "0","type": "flt","data": "0.0"
},
"stationary": {"ver": "0","type": "flt","data": "0.0"
},
"move": {"ver": "0","type": "flt","data": "1.0"
},
"fiddle": {"ver": "0","type": "flt","data": "0.0"
},
"pedestrian": {"ver": "0","type": "flt","data": "1.0"
},
"vehicle": {"ver": "0","type": "flt","data": "16.67"
},
"walk": {"ver": "0","type": "flt","data": "1.1"
},
"run": {"ver": "0","type": "flt","data": "2.5"
},
"bike": {"ver": "0","type": "flt","data": "5.55"
}
}
}

View File

@ -0,0 +1,27 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_dpc": {
"owner": "sns_dpc",
"param1": {
"ver": "0", "type": "flt", "data": "0.5"
},
"param2": {
"ver": "0", "type": "flt", "data": "0.02"
},
"param3": {
"ver": "0", "type": "flt", "data": "0.04"
},
"param4": {
"ver": "0", "type": "flt", "data": "7.5"
},
"param5": {
"ver": "0", "type": "flt", "data": "0.1"
},
"param6": {
"ver": "0", "type": "flt", "data": "0.1746"
}
}
}

View File

@ -0,0 +1,24 @@
{
"config":{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_facing": {
"owner": "sns_facing",
"angle_threshold": { "type": "flt", "ver": "0",
"data": "0.3491"
},
"report_neutral": { "type": "int", "ver": "0",
"data": "1"
},
"sample_rate": { "type": "flt", "ver": "0",
"data": "30.0"
},
"min_sample_rate": { "type": "flt", "ver": "0",
"data": "30.0"
},
"max_sample_rate": { "type": "flt", "ver": "0",
"data": "100.0"
}
}
}

View File

@ -0,0 +1,52 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_fmv_platform": {
"owner": "sns_fmv",
".config":{
"owner": "sns_fmv",
"accuracy_unknown": { "type": "int", "ver": "0",
"data": "3000"
},
"accuracy_abs_rest": { "type": "int", "ver": "0",
"data": "250"
},
"accuracy_rel_rest": { "type": "int", "ver": "0",
"data": "1500"
},
"accuracy_motion": { "type": "int", "ver": "0",
"data": "3000"
},
"gyro_gap_thresh": { "type": "int", "ver": "0",
"data": "501"
},
"mag_innov": { "type": "flt", "ver": "0",
"data": "9.0"
},
"mag_sample_gap_fac": { "type": "flt", "ver": "0",
"data": "1.0"
},
"tyro_thresh_for_zupt": { "type": "flt", "ver": "0",
"data": "0.001"
},
"sensor_rpt_rate": { "type": "flt", "ver": "0",
"data": "1.0"
},
"def_sample_rate": { "type": "flt", "ver": "0",
"data": "5.0"
},
"amd_int_cfg_param1": { "type": "flt", "ver": "0",
"data": "0.06"
},
"amd_int_cfg_param2": { "type": "flt", "ver": "0",
"data": "0.5"
},
"amd_int_cfg_param5": { "type": "flt", "ver": "0",
"data": "0.16"
}
}
}
}

View File

@ -0,0 +1,28 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_geomag_rv": {
"owner": "sns_geomag_rv",
".config": {
"owner": "sns_geomag_rv",
"gamerv_cfg_param1": {"type": "int","ver": "0","data": "300"
},
"gamerv_cfg_param2": {"type": "int","ver": "0","data": "300"
},
"fusion_min_samp_rate": {"type": "flt","ver": "0","data": "1.0"
},
"gamerv_def_rpt_rate": {"type": "flt","ver": "0","data": "1.0"
},
"gamerv_def_sample_rate": {"type": "flt","ver": "0","data": "5.0"
},
"amd_int_cfg_param1": {"type": "flt","ver": "0","data": "0.06"
},
"amd_int_cfg_param2": {"type": "flt","ver": "0","data": "0.5"
},
"game_rv_c_sys": {"type": "int","ver": "0","data": "0"
}
}
}
}

View File

@ -0,0 +1,24 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "347", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_gyro_cal_config": {
"owner": "sns_gyro_cal",
"calibration_period": {"type": "int","ver": "0",
"data": "60"
},
"num_samples": {"type": "int","ver": "0",
"data": "32"
},
"variance_threshold": {"type": "flt","ver": "0",
"data": "0.00025"
},
"sample_rate": {"type": "flt","ver": "0",
"data": "10.0"
},
"bias_threshold": {"type": "flt","ver": "0",
"data": "0.20"
}
}
}

View File

@ -0,0 +1,137 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf","QRD","HDK"
],
"soc_id": ["300" , "301"
]
},
"sns_heart_rate": {
"owner": "sns_heart_rate",
"sample_rate_ppg":{"ver": "0","type": "flt",
"data": "20.0"
},
"sample_rate_accel":{"ver": "0","type": "flt",
"data": "20.0"
},
"update_flterval_in_fft_samples":{"ver": "0","type": "int",
"data": "20.0"
},
"min_fft_fltervals_for_first_one_shot_update":{"ver": "0","type": "int",
"data": "7"
},
"min_fft_fltervals_for_first_continuous_update":{"ver": "0","type": "int",
"data": "7"
},
"max_bpm_age_for_warm_start":{"ver": "0","type": "int",
"data": "3276800"
},
"max_alpha":{"ver": "0","type": "flt",
"data": "1.0"
},
"min_alpha":{"ver": "0","type": "flt",
"data": "0.01"
},
"min_o_h":{"ver": "0","type": "flt",
"data": "0.5"
},
"max_o_h":{"ver": "0","type": "flt",
"data": "0.9"
},
"max_del_b":{"ver": "0","type": "flt",
"data": "10.0"
},
"acc_peak_distance":{"ver": "0","type": "int",
"data": "1"
},
"ppg_peak_distance":{"ver": "0","type": "int",
"data": "2"
},
"num_ppg_peaks":{"ver": "0","type": "int",
"data": "6"
},
"num_acc_peaks":{"ver": "0","type": "int",
"data": "6"
},
"peak_width_ppg":{"ver": "0","type": "int",
"data": "2"
},
"peak_thresh_ppg":{"ver": "0","type": "flt",
"data": "0.1"
},
"peak_thresh_acc":{"ver": "0","type": "flt",
"data": "0.1"
},
"max_ind_diff":{"ver": "0","type": "int",
"data": "10"
},
"max_acc_thr":{"ver": "0","type": "flt",
"data": "80"
},
"harm_acc_thr":{"ver": "0","type": "flt",
"data": "0.6"
},
"oneshot_max_acc_thr":{"ver": "0","type": "flt",
"data": "10.0"
},
"oneshot_harm_acc_thr":{"ver": "0","type": "flt",
"data": "0.4"
},
"continuous_max_acc_thr":{"ver": "0","type": "flt",
"data": "80.0"
},
"continuous_harm_acc_thr":{"ver": "0","type": "flt",
"data": "0.6"
},
"globalminhr":{"ver": "0","type": "flt",
"data": "40.0"
},
"globalmaxhr":{"ver": "0","type": "flt",
"data": "250.0"
},
"num_samples_to_skip_after_reset":{"ver": "0","type": "int",
"data": "40"
},
"noaccel_thresh":{"ver": "0","type": "flt",
"data": "80000.0"
},
"max_blanked_ppg_segments":{"ver": "0","type": "int",
"data": "51"
},
"min_bad_spread_ratio_for_ppg_blanking":{"ver": "0","type": "flt",
"data": "2.3"
},
"max_blanked_accel_segments":{"ver": "0","type": "int",
"data": "0"
},
"min_bad_spread_ratio_for_accel_blanking":{"ver": "0","type": "flt",
"data": "2.3"
},
"accuracy_decay_alpha":{"ver": "0","type": "flt",
"data": "0.98"
},
"android_accuracy_low_thresh":{"ver": "0","type": "flt",
"data": "0.3"
},
"android_accuracy_high_thresh":{"ver": "0","type": "flt",
"data": "0.7"
},
"android_accuracy_medium_thresh":{"ver": "0","type": "flt",
"data": "0.45"
},
"do_minppg":{"ver": "0","type": "int",
"data": "1"
},
"do_mingoodppgtrack":{"ver": "0","type": "int",
"data": "0"
},
"do_width_harm":{"ver": "0","type": "int",
"data": "1"
},
"do_stride_is_hr_processing":{"ver": "0","type": "int",
"data": "0"
},
"alpha_lowpass":{"ver": "0","type": "flt",
"data": "0.27"
}
}
}

View File

@ -0,0 +1,15 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["339", "355", "356", "361", "365", "366", "394", "400"]
},
"sns_mag_cal_config": {
"owner": "sns_mag_cal",
"anomaly_threshold": {"type": "flt","ver": "0",
"data": "200.0"
},
"sample_rate": { "type": "flt", "ver": "0",
"data": "25.0"
}
}
}

View File

@ -0,0 +1,12 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "341", "360", "393"]
},
"sns_mag_cal_config": {
"owner": "sns_mag_cal",
"anomaly_threshold": {"type": "flt","ver": "0",
"data": "200.0"
},
}
}

View File

@ -0,0 +1,24 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_multishake": {
"owner": "sns_multishake",
"min_shake_period": {
"ver": "0", "type": "flt", "data": "0.22"
},
"max_shake_period": {
"ver": "0", "type": "flt", "data": "0.7"
},
"sleep_time": {
"ver": "0", "type": "flt", "data": "0.12"
},
"shake_thresh": {
"ver": "0", "type": "flt", "data": "1.5"
},
"sample_rate": {
"ver": "0", "type": "flt", "data": "100.0"
}
}
}

View File

@ -0,0 +1,33 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_pedometer": {
"owner": "sns_pedometer",
"param8": {
"ver": "0", "type": "flt", "data": "3.0"
},
"param7": {
"ver": "0", "type": "flt", "data": "0.5"
},
"param6": {
"ver": "0", "type": "flt", "data": "4.0"
},
"param5": {
"ver": "0", "type": "flt", "data": "0.2"
},
"param4": {
"ver": "0", "type": "flt", "data": "0.02"
},
"param3": {
"ver": "0", "type": "flt", "data": "0.1"
},
"param2": {
"ver": "0", "type": "flt", "data": "0.5"
},
"param1": {
"ver": "0", "type": "flt", "data": "0.0"
}
}
}

View File

@ -0,0 +1,24 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_rmd": {
"owner": "sns_rmd",
"param4": {
"ver": "0", "type": "flt", "data": "0.2"
},
"param3": {
"ver": "0", "type": "flt", "data": "5"
},
"param2": {
"ver": "0", "type": "flt", "data": "0.2"
},
"param1": {
"ver": "0", "type": "flt", "data": "173"
},
"sample_rate": {
"ver": "0", "type": "flt", "data": "30.0"
}
}
}

View File

@ -0,0 +1,22 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_rotv_platform": {
"owner": "sns_rotv",
".config":{
"owner": "sns_rotv",
"min_report_rate": {
"type": "flt", "ver": "0", "data": "1.0"
},
"coordinate_sys": {
"type": "int", "ver": "0", "data": "0"
},
"sample_rate": {
"type": "flt", "ver": "0", "data": "5.0"
}
}
}
}

View File

@ -0,0 +1,39 @@
{
"config": {
"hw_platform": ["MTP", "Dragon", "Surf", "QRD", "HDK", "IDP"],
"soc_id": ["291", "246", "300", "301", "305", "321", "336", "339", "341", "355", "356", "360", "361", "365", "366", "393", "347", "394", "400"]
},
"sns_smd": {
"owner": "sns_smd",
"sample_rate": {
"ver": "0", "type": "flt", "data": "10.0"
},
"accel_window_time": {
"ver": "0", "type": "int", "data": "5"
},
"detect_threshold": {
"ver": "0", "type": "flt", "data": "0.158113883"
},
"self_transition_prob_sm": {
"ver": "0", "type": "flt", "data": "0.9"
},
"variable_decision_latency": {
"ver": "0", "type": "int", "data": "1"
},
"max_latency": {
"ver": "0", "type": "int", "data": "10"
},
"step_count_thresh": {
"ver": "0", "type": "int", "data": "5"
},
"step_window_time": {
"ver": "0", "type": "int", "data": "3"
},
"eigen_thresh": {
"ver": "0", "type": "flt", "data": "6.0"
},
"accel_norm_std_thresh": {
"ver": "0", "type": "flt", "data": "2.0"
}
}
}

View File

@ -0,0 +1,17 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "HDK", "IDP"],
"soc_id": ["291", "246", "305", "321", "336", "339", "340", "341", "355", "356", "360", "361", "365", "366", "393", "394", "400"]
},
"sns_tilt": {
"owner": "sns_tilt",
"sample_rate": {"ver": "0","type": "flt","data": "10.0"
},
"angle_threshold": {"ver": "0","type": "flt","data": "0.61086524"
},
"init_accel_window_time": {"ver": "0","type": "flt", "data": "1.0"
},
"accel_window_time": {"ver": "0","type": "flt", "data": "2.0"
}
}
}

View File

@ -0,0 +1,11 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "HDK"],
"soc_id": ["339", "340"]
},
"sns_tilt": {
"owner": "sns_tilt",
"enabled": {"ver": "0","type": "int", "data": "0"
}
}
}

View File

@ -0,0 +1,11 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "HDK", "IDP", "QRD"],
"soc_id": ["291", "246", "305", "321", "336", "341", "355", "360", "365", "366", "393", "394", "400"]
},
"sns_tilt": {
"owner": "sns_tilt",
"enabled": {"ver": "0","type": "int", "data": "1"
}
}
}

View File

@ -0,0 +1,47 @@
{
"config": {
"hw_platform": [ "MTP", "Dragon", "Surf", "IDP"
],
"soc_id": ["291", "246", "305", "321", "336", "339", "355", "356", "360", "361", "365", "366", "393", "394", "400"
]
},
"sns_tilt_to_wake": {
"owner": "sns_tilt_to_wake",
"accel_sampling_rate":{"ver": "0","type": "flt",
"data": "25.0"
},
"filter_window_seconds":{"ver": "0","type": "flt",
"data": "0.16"
},
"accel_window_seconds":{"ver": "0","type": "flt",
"data": "1.1"
},
"accel_sub_window_seconds":{"ver": "0","type": "flt",
"data": "0.55"
},
"window_for_accel_stability_check_seconds":{"ver": "0","type": "flt",
"data": "0.16"
},
"min_delta_pitch_threshold_deg":{"ver": "0","type": "flt",
"data": "45.0"
},
"min_pitch_threshold_deg":{"ver": "0","type": "flt",
"data": "40.0"
},
"min_abs_delta_z_threshold_deg":{"ver": "0","type": "flt",
"data": "10.0"
},
"max_roll_threshold_deg":{"ver": "0","type": "flt",
"data": "25.0"
},
"max_accel_spread_threshold":{"ver": "0","type": "flt",
"data": "4.8"
},
"max_accel_norm_deviation_from_G_threshold":{"ver": "0","type": "flt",
"data": "2.7"
},
"max_pitch_threshold_deg":{"ver": "0","type": "flt",
"data": "200.0"
}
}
}

View File

@ -0,0 +1,29 @@
{
"config": {
"hw_platform": [
"MTP", "Dragon", "Surf", "QRD" ],
"soc_id": [
"300", "301" ]
},
"sns_wrist_pedo": {
"owner": "sns_wrist_pedo",
"default_disable": {
"ver": "0", "type": "int", "data": "0"
},
"sample_rate": {
"ver": "0", "type": "flt", "data": "20.0"
},
"step_count_threshold": {
"ver": "0", "type": "int", "data": "0"
},
"step_threshold": {
"ver": "0", "type": "flt", "data": "10.1"
},
"swing_threshold": {
"ver": "0", "type": "flt", "data": "10.5"
},
"step_prob_threshold": {
"ver": "0", "type": "flt", "data": "0.49"
}
}
}

View File

@ -0,0 +1 @@
321

View File

@ -0,0 +1,64 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321", "291", "246", "305"]
},
"stk36xx_0":{
"owner": "sns_stk36xx",
".ambient_light":{
"owner": "sns_stk36xx",
".config":{
"owner": "sns_stk36xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".proximity":{
"owner": "sns_stk36xx",
".config":{
"owner": "sns_stk36xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".rgb":{
"owner": "sns_stk36xx",
".config":{
"owner": "sns_stk36xx",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,46 @@
{
"config":
{
"hw_platform": ["QRD", "MTP", "Dragon", "Surf"],
"soc_id": ["291", "246", "305", "321", "336"]
},
"stk3x3x_0":{
"owner": "sns_stk3x3x",
".ambient_light":{
"owner": "sns_stk3x3x",
".config":{
"owner": "sns_stk3x3x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".proximity":{
"owner": "sns_stk3x3x",
".config":{
"owner": "sns_stk3x3x",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,28 @@
{
"config":
{
"hw_platform": ["MTP", "Surf"],
"soc_id": ["321"]
},
"sx932x_0":{
"owner": "sx932x_0",
".sar":{
"owner": "sx932x_0",
".config":{
"owner": "sx932x_0",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,46 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321", "291", "246", "305"]
},
"tcs3400":{
"owner": "sns_tcs3400",
".als":{
"owner": "sns_tcs3400",
".config":{
"owner": "sns_tcs3400",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "3"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".rgb":{
"owner": "sns_tcs3400",
".config":{
"owner": "sns_tcs3400",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,64 @@
{
"config":
{
"hw_platform": ["MTP", "Dragon", "Surf"],
"soc_id": ["321","291", "246", "305"]
},
"tmd3702":{
"owner": "sns_tmd3702",
".als":{
"owner": "sns_tmd3702",
".config":{
"owner": "sns_tmd3702",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".prox":{
"owner": "sns_tmd3702",
".config":{
"owner": "sns_tmd3702",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".rgb":{
"owner": "sns_tmd3702",
".config":{
"owner": "sns_tmd3702",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

View File

@ -0,0 +1,64 @@
{
"config":
{
"hw_platform": ["MTP", "Surf"],
"soc_id": ["321"]
},
"tmd3725":{
"owner": "sns_tmd3725",
".als":{
"owner": "sns_tmd3725",
".config":{
"owner": "sns_tmd3725",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".prox":{
"owner": "sns_tmd3725",
".config":{
"owner": "sns_tmd3725",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "1"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "0"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
},
".rgb":{
"owner": "sns_tmd3725",
".config":{
"owner": "sns_tmd3725",
"is_dri":{ "type": "int", "ver": "0",
"data": "1"
},
"hw_id":{ "type": "int", "ver": "0",
"data": "0"
},
"res_idx":{ "type": "int", "ver": "0",
"data": "2"
},
"sync_stream":{ "type": "int", "ver": "0",
"data": "0"
}
}
}
}
}

Some files were not shown because too many files have changed in this diff Show More